Noticias de Procesadores, Página 11

Noticias y novedades sobre hardware y tecnología

Xbox ahora permitirá recortar e informar audio para limpiar los lobbies de Call of Duty

Microsoft va a añadir a la Xbox un sistema de moderación reactiva del chat de voz. La nueva función de reportes del chat de voz, que se lanzará hoy para Xbox Insiders, <...

Los Intel Raptor Lake Refresh contarán con una configuración de más núcleos en la gama media y entrada

La próxima generación de procesadores Intel Raptor Lake Refresh será una renovación de los actuales, con un incremento de velocidades sin que esto suponga un gran salto respecto a la actual generación en la...

La gráfica integrada de los Intel Meteor Lake basada en Xe-LPG alcanzará los 2,2 GHz

Aunque aún faltan algunos meses para el lanzamiento de la nueva serie Intel de decimocuarta generación, compuesta por los Intel Raptor Lake Refresh y los nuevos Intel Meteor Lake para portátiles, van apareciendo más...

El AMD Ryzen 5 7500F sin gráficos integrados solo llegará a China

La pasada semana se filtraba el AMD Ryzen 5 7500F, un procesador que destacaba por no contar con las gráficas integradas características de toda la serie Ryzen 7000 de sobremesa que se había lanzado hasta ahora. Según...

AMD diseñó procesadores Ryzen para el socket AM5 con una cámara de vapor en el IHS

En una visita a la sede central de AMD en Austin, GamersNexus visitó varios laboratorios y departamentos de pruebas de la empresa, entre los cuales se encuentra un punto destacado donde se detallan los planes de AMD de incluir una...

La gama media de los Intel Raptor Lake Refresh-HX contará con mayor número de núcleos y caché L2

Con un lanzamiento previsto para octubre, concretamente se rumorea que del 16 al 22 de ese mes, van llegando algunas novedades de los próximos procesadores Intel Raptor Lake Refresh para equipos de escritorio. En esta ocasión los...

Filtrados resultados del Intel Core i7-14700K en Cinebench R23 y CPU-Z situándolo muy cerca del i9 13900K

Una fecha más concreta que el mes de octubre para el lanzamiento de los nuevos Intel Raptor Lake Refresh se ha hablado de la semana 44, esta comprende los días 16 al 22 de ese mes. Fecha en la que (posiblemente) veremos los nuevos...

Según AMD Taiwan, mañana comenzará la promoción en la que regalan Starfield con procesadores y gráficas AMD

Las exclusividades con las tecnologías de escalado en los diferentes juegos que se han lanzado recientemente o que se van a lanzar están dando mucho que hablar. Juegos como Starfield, el próximo título de Bethesda,...

Los Intel Raptor Lake Refresh-K se lanzarán la tercera semana de octubre

A medida que se acerca la fecha, siguen surgiendo nuevos rumores acerca de los próximos procesadores para equipos de escritorio Intel Raptor Lake Refresh. Anteriores rumores situaban este lanzamiento para octubre, pero ahora un conocido...

Intel lanza una actualización de firmware para los Xeon Sapphire Rapids que soluciona el bug detectado

A finales del pasado mes conocíamos que Intel paraba el envío de sus procesadores Xeon Sapphire Rapids debido a un fallo descubierto. El fallo, que es difícil de reproducir al no aparecer con software comercial, seguramente...

Filtradas algunas especificaciones de los próximos Intel Meteor Lake y Raptor Lake Refresh para portátiles

Además de conocer que el Intel Core i9-14900K no tendrá un rendimiento destacable respecto a la actual generación, desde Moore´s Law is Dead también nos ofrecen los primeros detalles de esta próxima...

El Intel Core i9-14900K no vendrá con mayor IPC ni alcanzará los 6,5 GHz

Los fabricantes de placas base están preparando sus productos para los nuevos Intel Raptor Lake Refresh, que supuestamente llegarán en octubre. Desde el canal de YouTube de Moore´s Law is Dead han mostrado algunos datos de...

Broadcom invertirá 1000 millones de dólares en una nueva fábrica en España

Broadcom ha anunciado que abrirá una nueva fábrica en España tras una inversión de unos 1000 millones de dólares, algo que equivaldría aproximadamente a unos 920 millones de euros. Esto se hará...

Google atrasa su diseño para un SoC completamente personalizado un año entero

Google tiene planes de abandonar gradualmente los diseños de chips semi-personalizados con la ayuda de Samsung para su línea de smartphones Pixel, y del mismo modo que Apple, tiene la intención de lanzar su primer SoC...

Premios del Lector de Geeknetic 2023: ¡Vota y gana un PC Gaming Valorado en 2.000 Euros!

Tras publicar los nominados provisionales, hoy da comienzo el periodo de votaciones para los Premios del Lector de GEEKNETIC 2023 como ya es costumbre todos los años. A través de vuestros votos, los lectores de GEEKNETIC...

Se filtra un nuevo AMD Ryzen 5 7500F con 6 núcleos Zen 4 y sin gráficos integrados

Se ha filtrado un nuevo procesador de la serie AMD Ryzen 7000 todavía no anunciado. Con el nombre de AMD Ryzen 5 7500F, esta nueva CPU parece que contará con una distribución de 6 núcleos Zen 4 con 12 hilos de...

Los 2 nanómetros de TSMC prometen un 15% más de rendimiento y un 30% de reducción de consumo

TSMC ha desvelado algunos detalles de su proceso de fabricación de 2 nanómetros. Este proceso, denominado TSMC N2 PPA, tiene prevista su llegada a lo largo del año 2025 ya en estado de fabricación en gran...

Aparece una fotografía de los nuevos AMD Phoenix 2 con Zen 4 y RDNA 3 en un tamaño más pequeño

AMD ha presentados sus procesadores de la serie 7040U, donde inicialmente se han anunciado 4 referencias, el Ryzen 7 7840U, Ryzen 5 7640U, Ryzen 5 7540U y Ryzen 3 7440U. Por el momento solo hemos encontrado referencias a los dos primeros y...

La NVIDIA GTX 1650 es la tarjeta gráfica más usada por los usuarios de Steam

Aunque NVIDIA tiene prácticamente todas sus nuevas series RTX 40 en el mercado, a la espera de saber si existirá un modelo RTX 4050, las encuestas de hardware de Steam no revelan un uso elevado de esta última serie. La...

AMD prepara una nueva promoción para incluir Starfield con la compra de sus procesadores Ryzen 7000

Después de una colaboración entre AMD y Bethesda para el nuevo juego que se lanzará en unas semanas, hemos conocido que Starfield no contará con soporte para NVIDIA DLSS 3.0 ni Intel XeSS. Pero además, parece...

TSMC confirma una fuga de datos tras un ciberataque a un suministrador de terceros

TSMC, el fabricante de semiconductores más grande del mundo, ha confirmado que ha sufrido un robo de datos tras ser víctima de un ciberataque de ransomware con origen en la banda criminal que opera LockBit. El ransomware LockBit...

El AMD Ryzen 5 5600X3D se venderá únicamente en Micro Center

Hace un tiempo nos hacíamos eco de algunos rumores que indicaban un posible lanzamiento del AMD Ryzen 5 5600X3D, un nuevo procesador que contaría con las ventajas del Ryzen 7 5800X3D pero en un procesador más...

Aparecen cambios en el kernel 6.5 de Linux sobre Meteor Lake-S pese a su supuesta cancelación

Hace ya un tiempo hablábamos de la posible cancelación de los procesadores Intel Meteor Lake-S, unos chips que por ahora aun no queda claro si llegarán al mercado o no, algo que queda cada vez menos claro conforme más...

Los chips a 1,4 nanómetros de Samsung llegarán en 2027 y los 2 nm en 2025

La compañía coreana Samsung ha comentado como van sus planes de cara al futuro en cuanto a la fabricación de chips se refiere. Samsung es el segundo mayor fabricante de chips del mundo, por detrás de TSMC, y cuenta con...

Intel paraliza el envío de algunos procesadores "Sapphire Rapids" tras detectar un bug

El envío de nuevas unidades de los procesadores Intel Xeon Max, más conocidos como "Sapphire Rapids", ha sufrido un revés al verse paralizado tras descubrirse un nuevo bug presente en algunos modelos concretos de...

Aparece la primera imagen de un procesador Intel Granite Rapids-AP con socket LGA 7529

Hoy hemos podido ver como ha salido a la luz un par de imágenes pertenecientes a un procesador Intel Granite Rapids-AP, una nueva gama de procesadores de Intel para el centro de datos que debería llevar a Intel a robarle la corona...

Qualcomm lanza los nuevos Snapdragon 4 Gen 2

Qualcomm ha desvelado sus nuevos Qualcomm Snapdragon 4 Gen 2, un chip de gama de entrada para smartphones y otros dispositivos que incorpora algunas actualizaciones de hardware que deberían aumentar ligeramente el rendimiento para el...

Aparece listado el AMD Ryzen 7 5700 basado en Cezanne sin GPU integrada

Recientemente hemos escuchado rumores de un nuevo procesador de AMD con la tecnología 3D V-Cache, lo diferente en este rumor es que se trata de un procesador de la pasada generación, el Ryzen 5 5600X3D. Pero parece que AMD no ha...

Intel separará su negocio de fabricación de semiconductores

Hemos hablado en más de una ocasión acerca de la intención de Intel de ofrecer sus servicios de fabricación de semiconductores a otras compañías, pero parece ser que esto ya tiene fecha e incluso un plan...

Estos son los Nominados "Provisionales" de los Premios del Lector de GEEKNETIC 2023
IFA 2023

Estos son los Nominados "Provisionales" de los Premios del Lector de GEEKNETIC 2023

Se acercan las fechas en las que celebramos los Premios del Lector de GEEKNETIC 2023 donde vosotros, los lectores y usuarios de nuestra Web, podéis votar a vuestras marcas de tecnología, hardware e Informática...

Intel aclara los nuevos nombres de los próximos procesadores, los Intel Raptor Lake-S Refresh y HX se nombrarán Intel Core ix

Parece que el cambio de nombre que vendrá con los próximos Intel Meteor Lake ha creado confusión con respecto a los procesadores que no pertenecen a esta gama, aunque sí pasarán a formar parte de la 14 Gen de...

Se finaliza la instalación de 63.744 GPUs Intel Max y 21.248 CPUS Intel Xeon Max en el Superordenador Aurora

El superordenador Aurora es una de las demostraciones de fuerza más importantes de Intel. Este portento de la tecnología está formado por 10.624 nodos o "blades" para sumar nada más y nada menos que 21.248...

AMD muestra dos prototipos de procesadores Ryzen 5950X3D y 5900X3D con 192 MB de caché L3

AMD introdujo la tecnología 3D V-Cache con los Ryzen de la serie 5000 con el Ryzen 7 5800X3D y los procesadores para servidores EPYC. Con la nueva generación de núcleos Zen 4 también ha querido lanzar algunos productos...

Según los últimos rumores los Intel Raptor Lake Refresh estarán disponibles en octubre

Intel ha anunciado grandes cambios respecto a la forma de nombrar sus próximos procesadores, donde ha creado la serie Intel Core Ultra. Con este nuevo nombramiento también se ha conocido que los Intel Raptor Lake Refresh para...

Intel dejará los Meteor Lake de portátiles para su serie Intel Core Ultra y nombrará 14 Gen los Raptor Lake S y HX

Ayer mismo conocíamos la gran novedad que traerá Intel con su próxima generación de procesadores. Esta vez no se trata de tecnología incluida en los Intel Meteor Lake, sino un nuevo sistema para nombrar sus...

Intel Cambia la Nomenclatura de sus Procesadores: Adiós Core i3/i5/i7, bienvenidos Core 3/5/7 y Core Ultra 5/7/9

La llegada de Meteor Lake no solo supondrá una nueva generación de CPUs de Intel con mejoras de rendimiento e importantes cambios en la arquitectura y empaquetado, sino que iniciará una nueva era en la denominación de...

Tunnel Falls: Así es el Primer Chip Cuántico de Intel con 12 Qubits basado en Silicio

La computación cuántica será una de las revoluciones más importantes en el ámbito de la computación. Los bits tradicionales (0 o 1) que se representan en transistores convencionales darán...

Llegan los procesadores AMD Ryzen 7040HS "Phoenix" con aceleradores IA y GPUs RDNA 3

AMD acaba de anunciar oficialmente sus nuevos procesadores AMD Ryzen 7040HS Series para portátiles de altas prestaciones y diseños compactos y finos. Se trata de los primeros procesadores X86 con un motor de IA integrado,...

Los núcleos Zen 4c son un 35% más pequeños que Zen 4 conservando el mismo IPC

AMD ha presentado grandes novedades, su próxima aceleradora MI300X basada en CDNA 3 y nuevos procesadores AMD EPYC para tareas concretas de servidores y centros de datos. Entre estos procesadores de incluyen los AMD EPYC con la...

El nuevo acelerador para IA generativa de AMD MI300X cuenta con hasta 192 GB de memoria HBM3

AMD ha ampliado sus soluciones CPU para servidores y centros de datos, añadiendo nuevos procesadores EPYC destinados a tareas más concretas como la computación nativa en la nube, con los AMD EPYC Bergamo, o las tareas...

AMD presenta nuevas soluciones EPYC Bergamo con hasta 128 núcleos y Genoa-X con 3D V-Cache

El pasado noviembre, AMD anunciaba sus procesadores destinados a servidores y centros de datos EPYC Genoa. Esta línea de procesadores ha sido ampliada, con la incorporación de dos nuevas soluciones, que dividen la gama de...

Synopsys firma un acuerdo de colaboración con Samsung Foundry para fabricar sus productos bajo sus nodos más avanzados

Synopsys, que cuenta con una amplia cartera de productos orientados a diferentes sectores, ha firmado un acuerdo de colaboración con Samsung para poder fabricar sus productos bajo los nodos más avanzados de Samsung Foundry. Synopsys...

Liqmaxflo Banner