Noticias de Procesadores, Página 9

Noticias y novedades sobre hardware y tecnología

Qualcomm presenta el Snapdragon 7s Gen 2 fabricado a 4 nm para la gama media

Qualcomm ha presentado un nuevo procesador de su serie Snapdragon para teléfonos móviles, que se incluirá en dispositivos de gama media que desean ajustarse a un presupuesto más bajo. Este nuevo Snapdragon 7s Gen 2...

Los AMD Threadripper PRO 7000 Series estarán disponibles en otoño

Parece que AMD tiene planes para lanzar su serie de procesadores Threadripper PRO 7000 más adelante, este mismo otoño. Esta nueva serie con núcleos Zen 4 vendrá con importantes mejoras respecto a los actuales...

La Tecnología de Sustrato de Cristal de Intel permitirá Procesadores más Potentes y con Menor Consumo

En mayo publicamos una editorial con las novedades que nos había mostrado Intel en cuanto al uso de nuevos materiales para conseguir seguir cumpliendo con la Ley de Moore y conseguir chips cada vez más densos y con más...

AMD presenta los EPYC 8004 con hasta 64 núcleos Zen 4c y optimizados en el rendimiento por vatio

AMD ha presentado recientemente sus nuevos núcleos Zen 4c, una versión de menor tamaño de sus Zen 4 conservando el mismo IPC. Ya hemos visto que estos núcleos Zen 4c se mezclarán con Zen 4 en los próximos...

Filtrados los precios de los Intel Raptor Lake con una mínima subida respecto a la generación actual

Esta semana tendrá lugar el evento Intel Innovation, donde el fabricante hablará de sus nuevos procesadores Intel Meteor Lake. Además, los rumores indican que Intel presentará su serie de procesadores para equipos de...

El Apple A17 Pro está muy cerca del rendimiento monohilo del Intel Core i9-13900K

Un "iPhone16,1" fue sometido recientemente a las pruebas de Geekbench 6.2, según podemos leer en TechPowerUp, y es que este prototipo de un posible iPhone 16 Pro funciona ejecutando una versión de iOS 17.0...

Filtrados los resultados del Intel Core i5-14600K en Cinebench 2024

Recientemente se anunciaba una actualización del software que prueba el flujo de datos para profesionales del vídeo, Cinebench 2024. Este popular software se ha actualizado a la versión 2024 añadiendo nuevas funciones...

Aparecen nuevos datos de rendimiento en Geekbench del Intel Core i9-14900KF superando los anteriores

Poco a poco, y con un lanzamiento esperado en breve, van apareciendo más datos de los procesadores Intel Raptor Lake Refresh. Recientemente hemos visto resultados del Intel Core i9-14900K en Geekbench, ahora también se han filtrado...

Aparece un Intel Core Ultra 7 1002H en Geekbench con una frecuencia máxima de 5 GHz

Intel ha vuelto a dejar entrever en qué se encuentra trabajando, pues tal como podemos leer en TechPowerUp, se ha filtrado un nuevo procesador que se ha sometido a evaluación a través de Geekbench 6.1.0. La última...

Filtrado un AMD Ryzen Threadripper 7975WX con 32 núcleos

Han surgido múltiples filtraciones en los últimos meses que muestras más información acerca de la plataforma Threadripper 7000 de AMD, basada en la arquitectura Zen4. Estas filtraciones confirman que la próxima...

Intel lanzará sus procesadores de decimocuarta generación el 17 de octubre

Intel tiene previsto lanzar sus procesadores de escritorio Intel Core de decimocuarta generación el 17 de octubre, según leemos en VideoCardz basándose en fuentes fiables. Estos procesadores son una continuación de la...

Las primeras fotos del Die de un procesador AMD Phoenix 2 muestra un diseño híbrido con Zen 4 y Zen 4c

Se han filtrado las supuestas imágenes de un chip Phoenix 2 de AMD donde se puede ver un diseño híbrido que combina núcleos Zen 4 y Zen 4c en la que sería la primera arquitectura híbrida de AMD. Estas...

MediaTek ya tiene listo el diseño de su primer chip Dimensity fabricado a 3 nanókmetros de TSMC

MediaTek ha anunciado que ya ha concluido el diseño de su próximo SoC Dimensity, el primero que se fabricará con el proceso de fabricación de 3 nanómetros de TSMC. El modelo concreto no se ha desvelado...

Las CPU Intel Meteor Lake integrarán hasta 16 GB de memoria LPDDR5X en el propio chip

Los chips formados por varios chips son una realidad desde hace unos años. El diseño de chiplets o pequeñas "baldosas" cada una independiente pero trabajando en conjunto con otras dentro del mismo encapsulado se...

AMD será uno de los primeros clientes de la fábrica de Arizona de TSMC

TSMC se ha enfrentado a dificultades inesperadas para poner en marcha una nueva planta en Arizona, pues la propia compañía había anunciado previamente que se había tenido que retrasar la producción en la nueva...

Visto un Intel Core Ultra 7 155H en Geekbench con 16 núcleos y 22 hilos

Los últimos rumores apuntan a una presentación de los próximos procesadores Intel Raptor Lake Refresh de decimocuarta generación, en el evento Intel Innovation que tendrá lugar a partir del 19 de septiembre. En...

Filtrados los resultados de Geekbench 6 del Intel Core i9-14900K

Hace un par de días nos encontramos con un test en CPU-Z del Intel Core i9-14900K, donde veíamos unos resultados muy similares a los conseguidos por el Intel Core i9-13900KS. Ahora también se ha filtrado la puntuación...

La puntuación filtrada en CPU-Z del Intel Core i9-14900K es similar a la del Core i9-13900KS

Intel lanzará la renovada gama de procesadores Raptor Lake Refresh el próximo octubre, aunque se espera que se presenten este mismo mes en el evento que tendrá lugar a partir del 19 de septiembre. Ya conocemos que estos Intel...

Desvelados los Ganadores de los EHA Reader Awards 2023

Acaba de tener lugar la ceremonia de los premios EHA Reader Awards 2023 en la feria IFA de Berlín. Como cada año, estos premios recogen las opiniones de los millones de lectores de los medios que formamos la European Hardware...

La Quinta Generación de Aceleradores de IA de Google se centra en mejorar su eficiencia

Google ha anunciado la que ya es su quinta generación de chips aceleradores para Inteligencia Artificial "Cloud TPU v5e". Más conocidos como TPU (Tensor Processing Units), esta quinta generación se centra en mejorar...

Los Intel Xeon Sierra Forest con E-Cores y Granite Rapids con P-Cores llegarán en 2024 compartiendo plataforma
Hot Chips 2023

Los Intel Xeon Sierra Forest con E-Cores y Granite Rapids con P-Cores llegarán en 2024 compartiendo plataforma

En el evento Hot Chips Intel ha desvelado nuevos detalles de sus próximos procesadores Intel Xeon de cuarta generación, tanto en sus variantes con P-Cores de alto rendimiento (Granite Rapids) para tareas que requieran potencia bruta...

Una tienda ha listado los Intel Raptor Lake Refresh añadiendo sus velocidades de reloj y caché

Ya conocemos muchos detalles de los próximos procesadores de Intel para equipos de escritorio, los Intel Raptor Lake Refresh. Esta renovada versión de los actuales Intel Raptor Lake llegará, en su mayoría, sin grandes...

Los Intel Core de 14ª Generación rendirán un 3% más que las 13ª Gen según MSI

En un descuido ya corregido, MSI publicó un vídeo en su canal que dejaba ver algunos detalles de los procesadores Intel Core de 14ª Generación, conocidos como Raptor Lake Refresh. En ese vídeo se podía ver...

Consiguen alcanzar los 5,8 GHz con nitrógeno líquido en un Core i9-11980HK de portátil

El Intel Core i9-11980HK es un procesador de portátiles de la familia Intel Tiger Lake. Generalmente, los récords de overclock mediante el uso de sistemas de nitrógeno líquido y otras tecnologías de...

El Realme GT5 permite realizar Overclock Manual al Snapdragon 8 Gen 2

El overclock manual suele ser una actividad restringida a chips de sobremesa como CPUs o GPUs, y cada vez está más limitada a modelos concretos como las variables K de Intel o las X de AMD. Es cierto que hemos visto casos de...

Desvelados los ganadores de los PREMIOS DEL LECTOR DE GEEKNETIC 2023

Tras recopilar todas las votaciones que los lectores y seguidores de GEEKNETIC habéis enviado mientras ha durado el plazo, ya tenemos preparada la lista de ganadores de los Premios del Lector de GEEKNETIC en su edición del...

El Socket Intel LGA1851 solamente durará dos años y soportará DDR5 exclusivamente

Los próximos procesadores los Intel Arrow Lake traerán consigo un nuevo socket que obligará a los usuarios a cambiar de placa base si quieren dar el salto a esta nuevas generaciones. Este socket LGA1851, como su propio...

Intel regala dos juegos con la compra de CPUs de 12 o 13 Gen o de sus gráficas Intel Arc
Chollos

Intel regala dos juegos con la compra de CPUs de 12 o 13 Gen o de sus gráficas Intel Arc

Los fabricantes a menudo ofrecen algún regalo con la compra de sus productos, usualmente suelen hacerlo cuando compras sus gráficas o procesadores. Intel también se ha sumado a una nueva promoción con la que puedes...

Aparece un AMD Threadripper Pro 7995WX en Geekbench con 96 núcleos y 192 hilos de ejecución

Parece que AMD quiere rescatar su serie de procesadores para alto rendimiento Threadripper, que lleva un tiempo estancada. Esta plataforma está orientada a usuarios que buscan el alto rendimiento en un ordenador doméstico o incluso...

Los AMD Ryzen 5 7500F sin iGPU ya se venden en Alemania desde los 200 euros

AMD lanzó un procesador de la serie Ryzen 7000 sin gráficos integrados, el AMD Ryzen 5 7500F que inicialmente iba a estar disponible únicamente en China. Posteriormente, esta misma CPU estaría disponible en Alemania...

ASRock da a entender que los Intel Raptor Lake Refresh saldrán en Octubre

En octubre se esperan los próximos Intel Raptor Lake Refresh, las nuevas CPUs de Intel modificadas respecto a la actual generación, pero de las que no hay ningún dato confirmado de forma oficial. En ocasiones los fabricantes...

Intel termina el acuerdo de compra con Tower Semiconductor, a los que tendrá que pagar 353 millones de dólares

Hace ya un año y medio que Intel anunció un acuerdo para la compra de Tower Semiconductor por un valor de 5.400 millones de dólares. Pero muchas veces estas adquisiciones no son viables dado que los organismos competentes ven...

Disponibles 4 nuevos intermediadores de Intel para las GPUs Battlemag y las CPUs Intel Arrow Lake-HX y Lunar Lake-M

Intel ha actualizado el sitio web donde tiene disponibles los intermediadores para sus nuevos productos. En esta actualización se han añadido hasta 4 nuevos intermediadores correspondientes a las próximas GPUs Intel...

AMD ha preparado dos exclusivos procesadores Ryzen 7040S para portátiles Lenovo

AMD ha preparado dos versiones exclusivas de sus procesadores Ryzen 7040S basados en Phoenix, y que ahora están disponibles en dos modelos de portátiles Lenovo. Estos dos procesadores son versiones similares a los equivalentes Ryzen...

Qualcomm puede trasladar toda la producción del Snapdragon 8 Gen 4 a Samsung

La próxima generación de procesadores para móviles parece que adoptará un proceso de fabricación de 3 nanómetros. Esto ha hecho que las fábricas estén obteniendo pedidos que casi llenan sus...

El Intel Core i7-14700K rinde hasta un 20% más en multinúcleo que el Core i7-13700K

Con una fecha de lanzamiento previasta para octubre, los Intel Raptor Lake Refresh cada vez van dejando mas rastros de pruebas en internet. Ahora se ha podido probar el Intel Core i7-14700K, que se ha renombrado a 13700KS para evitar problemas...

Los Intel Lunar Lake contarán con GPU Xe2 y CPU con núcleos Lion Cove y Skymont

Intel lanzará próximamente su decimocuarta generación de procesadores, donde se incluyen los Intel Raptor Lake Refresh y los nuevos Intel Meteor Lake para portátiles. Pero el fabricante ya se está preparando...

AMD lanza la Radeon RX 7900 XTX y el Ryzen 7 7800X3D Starfield Limited Edition

AMD tiene una promoción con la que regala el juego Starfield con la compra de algunos de sus procesadores AMD Ryzen y/o gráficas AMD Radeon. Esto se debe a que AMD es patrocinador de este próximo titulo de Bethesda con todas...

El Exynos 2400 estaría siendo probado con un supernúcleo con overclock

Una nueva actualización del próximo Exynos 2400 es que se está probando a una velocidad de reloj más alta, pero en este momento, los ajustes solo se están realizando en el "supernúcleo", lo que...

El envío de CPUs en este segundo trimestre se ha incrementado un 17% respecto al primero

Parece que el mercado de la informática va remontando poco a poco, tal y como se esperaba de estudios anteriores. Según un estudio de Jon Peddie el envío de CPUs se ha incrementado este último trimestre hasta los 53,6...

Aparecen capturas de CPU-Z y HWiNFO de un equipo con un AMD Ryzen 8000 Strix Point

Poco a poco se van conociendo algunos detalles de la próxima generación de procesadores AMD Ryzen 8000 para portátiles, concretamente los denominados Strix Point. Desde Performancedatabase aseguran tener capturas de uno de...

Apple, Google, Amazon, NVIDIA o Intel interesadas en invertir en ARM para su salida a bolsa

Después del fallido intento de compra de ARM por parte de NVIDIA, la compañía dedicada al diseño de chips quiere salir en bolsa. Esta salida a bolsa, aunque no tiene una fecha concreta, se espera que sea para el...

Liqmaxflo Banner